``` module test(A, O); input [15:0] A; output O; wire B = A < 2.0; assign O = B; endmodule ```